// Pseudocod pentru debouncer module debouncer( output reg button_out, input clk, input reset, input button_in ); always @(posedge clk) begin if (reset == 1) begin // resetăm ieșirea și alte auxiliare end else begin // Ținem un contor de delay, pe care îl incrementăm // Reținem starea butonului // Actualizăm ieșirea debouncerului doar când contorul revine la 0 // (adică abia după ce a trecut delay-ul vom lua în considerare starea butonului) end end endmodule